vhdl

    0La chaleur

    1Répondre

    Comment une variable est-elle représentée dans une visionneuse RTL dans Quartus? J'ouvre RTL Viewer et il ne montre aucun registre pour une variable. Par exemple: variable op_code : std_logic_vector(7

    3La chaleur

    2Répondre

    Je dois écrire une série de bancs de test pour un modèle de cpu simple écrit en VHDL. Ce dont j'ai besoin, c'est d'un morceau de code qui traduit une instruction en code d'assemblage (MIPS) en une cha

    4La chaleur

    3Répondre

    Je me souviens avoir lu il y a quelque temps que les réinitialisations asynchrones ou synchrones sont implémentées plus efficacement dans les FPGA puisque les bascules en ont déjà une, mais l'autre né

    0La chaleur

    2Répondre

    Je tente de combiner plusieurs ALU 1 bit dans une ALU 4 bits. Je suis confus sur la façon de le faire en VHDL. Voici le code pour l'ALU 1bit que j'utilise: component alu1 -- define the 1 bit alu compo

    1La chaleur

    2Répondre

    J'essaye d'écrire un module VHDL mais j'ai un problème avec l'instruction if. Très probablement, c'est une erreur stupide, mais depuis que je suis très nouveau à VHDL, je ne pouvais pas comprendre le

    1La chaleur

    1Répondre

    Je souhaite générer une liste de toutes les connexions de signaux entre des blocs VHDL de haut niveau dans un fichier VHDL. Par exemple, c'est ce que fait le visualiseur RTL de Quartus, mais graphique

    2La chaleur

    3Répondre

    I ont une FIFO qui a une interface qui ressemble à quelque chose comme ceci: entity fifo is port ( CLK : IN std_logic := '0'; DIN : IN std_logic_vector(31 DOWNTO 0); ALMOST_EM

    -1La chaleur

    3Répondre

    Je suis en train d'ajouter « 1 » à un std_logic_vector N-Longueur VHDL Ceci est la première fois que je suis VHDL donc je ne suis pas du tout Bien sûr comment ajouter ceci 1 sans bulding Une addition

    0La chaleur

    3Répondre

    Je conçois l'opérateur arithmétique de décalage universel. Existe-t-il un meilleur moyen d'y parvenir en plus d'utiliser le multiplexeur 32 bits (décodeur) d'une manière présentée ci-dessous? ENTITY i

    0La chaleur

    2Répondre

    J'essaie de réutiliser des listes de réseaux dans d'autres conceptions sans succès. J'ai un composant qui se traduit à la netlist: entity c is port (... sel : in std_logic_vector(31 downto 0); ..